ASM INTERNATIONAL NV ASMIY
January 19, 2021 - 7:54pm EST by
Lohengrin
2021 2022
Price: 216.20 EPS 8.04 8.68
Shares Out. (in M): 49 P/E 0 0
Market Cap (in $M): 10,500 P/FCF 0 0
Net Debt (in $M): -400 EBIT 0 0
TEV (in $M): 10,000 TEV/EBIT 0 0

Sign up for free guest access to view investment idea with a 45 days delay.

Description

ASM NA Long

 

We believe shares of ASM NA are an attractive investment. We see more than 30% upside to a year end 2021 price target.

 

ASM International (ASM NA, not to be confused with ASML NA) is a Dutch Semiconductor Capital equipment company. ASM produces factory equipment (called tools) that are used in the production of semiconductors. 

 

This video provides some helpful context for what the process of making semiconductors is like. 

 

https://www.youtube.com/watch?v=bor0qLifjz4&t=308s

 

The Semiconductor Capital Equipment (SemiCap) industry is a very attractive industry. The semiconductor industry is constantly pushing it’s production processes to the limits in pursuit of increased capability and improved power efficiency in new chips. The reason our iPhones shrink and make leaps and bounds in performance every generation is due to cutting edge chips being produced in new and improved foundries. The production of these chips naturally gets harder over time as the limits of physics and chemistry must be pushed in order to keep advancing. As a result new nodes are frequently meaningfully more capital intensive than prior nodes (they require more steps/more complex and advanced tools), which is a positive for equipment suppliers like ASM. 

 

There is a long and complex history around why this hasn’t always been the case (fab productivity gains outpacing the complexity of the new chips, the transition from 200mm wafers to 300mm wafers) but this era of strictly increasing capital intensity for the semiconductor industry is a relatively new phenomenon for the industry (really started in 2015). And it will continue for the foreseeable future as there is no R&D being done on 400mm. 

 

As a result, spending on Wafer Fabrication equipment (WFE) is going to increase faster than overall semiconductor industry volumes. WFE is a good proxy for industry topline growth. WFE is quite cyclical so this will have to be demonstrated on a through-cycle basis. We believe the long term semiconductor industry growth rate will be in the 8-10% range as semis continue to become more pervasive in the economy, so the outlook for SemiCap companies on a through cycle basis is very good. 

 

The SemiCap industry is also well structured, with roughly five (AMAT, LRCX, ASML NA, Tokyo Electron, KLAC) major companies that address different segments of the fabrication process. There are areas in which companies overlap (AMAT in particular has a broad portfolio) but each company has their own niches where they are the market leaders. 

 

Competition occurs but market shares tend to be fairly static unless a company has achieved a particular advantage or if there’s a shift towards a different type of technology (see LRCX with High-Aspect Ratio Etching for 3D NAND). As a result of this well structured industry it is a reasonable assumption that every company will be able to grow at least in line with WFE through cycle though we have to watch out for company specific situations. 

 

ASM International’s is a smaller, specialized player in this industry. The bulk (>50%) of their revenues come from a specific technology (Atomic Layer Deposition, ALD), this is somewhat unique as the larger players don’t have any one technology that can be said to be the primary driver of their business. 

 

We see ALD as a very attractive area to get exposure too. ALD is a relatively advanced technology (compares to Chemical Vapor Deposition and Physical Vapor Deposition which are the less advanced technologies). Due to the advanced nature of ALD the use of it in new nodes will be increasing faster than WFE. The non ALD portions of ASM’s business will be able to grow in line with WFE.

 

Beyond just growing faster than WFE there are two major technology transitions coming in the next several years which will cause the growth of ALD to inflect positively. First is 3D DRAM, the transition from 2D to 3D architectures requires very precise ALD and ASM is going to be a major beneficiary of this move. Also, the move from FINFET to GAAFET (a different type of 3D architecture for semiconductors) will also require very precise deposition which will benefit ALD tool providers like ASM. Both of these transitions will probably add 5-10 points to ASM’s topline growth for multiple years, independent of the WFE cycle. 

 

The timing of these technology transitions is uncertain. GAAFET maybe starts in 2023 (Samsung and INTC are pursuing it), and 3D DRAM will be beyond that. Despite the uncertainty, having these guaranteed to happen out-year growth inflections makes it easier to own ASM with a long term view and buy dips when the market is freaking out about cycles/the economy. 

 

Overall we see ASM as a well positioned player within an extremely attractive industry. Long term their ALD franchise will only become more valuable and as such the shares are an attractive investment. 

 

Numbers/Valuation

 

We assume a move towards GAAFET in 2023, driving a revenue reacceleration.

 

We model stable gross margins at industry normal levels with a small increase on GAAFET deployment driving better mix for ASM. 

 

We model a small amount of operating leverage and 30% of FCF (~70% FCF conversion from EPS) used for share repurchases.

 

The most aggressive point here is the topline assumption, but as we outlined above we believe ASM is very well positioned for a strong run of topline growth.

 

For our valuation, we believe ASM should trade at a premium to the market multiple (now 23-24x) due to the attractive industry structure and business model as laid out above. 

 



We see upside in the stock to 286 euros by the end of this year. 

 

Other Upside Factor  - Geopolitics

 

Having semiconductor fabrication capacity in-country is an important strategic consideration for governments. If the situation with China becomes more precarious more countries will start trying to build leading edge capacity onshore. New companies/regions without expertise in these extremely challenging manufacturing fields tend to be absurdly capital inefficient (see China - YMTC is $10s of billions in and is barely producing the lowest quality NAND). If we see this kind of strategic initiative from multiple countries at once ASM’s earnings will be substantially higher than we have modeled. 

 

Risks

 

Customer Concentration - the flaw in SemiCap as an industry is the customer concentration. There are a very small number of players who buy WFE for each end market (Logic/Foundry, DRAM, NAND) and even within those players there are usually one or two dominant ones. These concentrated customer bases put a lid on the Gross Margin/pricing power of these companies. If things get too good for the SemiCap industry there will be meaningful pushback from the customers which could erode gross margins. 

 

Competition - Both LRCX and ASML offer ALD tools, while we believe the market will be good enough for all three players to see great success, it is possible ASM’s technology leadership position will erode and ASM will not be able to achieve the growth we believe is possible. 

 

Industry - There is a possibility at some point in the out years that there is a new technology that is totally separate from silicon (Graphene?) or just that new nodes are scientifically impossible that significantly reduces the growth rate of WFE. We are confident silicon/silicon adjacent semiconductors will be dominant for at least the next 10 years, but technology can change quickly.

 

*I have no position in ASM NA in any capacity personal or professional

*I have no non-public information about ASM NA and there is no non-public information in this note

 

*Nothing in this note should be construed as investment advice or a recommendation to do anything at all with your money or money that you are in control of

I do not hold a position with the issuer such as employment, directorship, or consultancy.
I and/or others I advise do not hold a material investment in the issuer's securities.

Catalyst

Catalysts

 

More WFE increase announcements (see TSMC in Jan, Samsung and INTC when they eventually guide with new CEO will show a similar trend).

 

Forecast increases as ALD grows quickly and ASM takes share.

 

General good industry datapoints in semis as the whole chain is very optimistic about growth for the next several years.

    show   sort by    
      Back to top